Search Results

Aluminum and Copper Chemical Vapor Deposition on Fluoropolymer Dielectrics and Subsequent Interfacial Interactions
This study is an investigation of the chemical vapor deposition (CVD) of aluminum and copper on fluoropolymer surfaces and the subsequent interfacial interactions.
Amorphization and De-vitrification in Immiscible Copper-Niobium Alloy Thin Films
While amorphous phases have been reported in immiscible alloy systems, there is still some controversy regarding the reason for the stabilization of these unusual amorphous phases. Direct evidence of nanoscale phase separation within the amorphous phase forming in immiscible Cu-Nb alloy thin films using 3D atom probe tomography has been presented. This evidence clearly indicates that the nanoscale phase separation is responsible for the stabilization of the amorphous phase in such immiscible systems since it substantially reduces the free energy of the undercooled liquid (or amorphous) phase, below that of the competing supersaturated crystalline phases. The devitrification of the immiscible Cu-Nb thin film of composition Cu-45% Nb has been studied in detail with the discussion on the mechanism of phase transformation. The initial phase separation in the amorphous condition seems to play a vital role in the crystallization of the thin film. Detailed analysis has been done using X-ray diffraction, transmission electron microscopy and 3D atom probe tomography.
Adhesion/Diffusion Barrier Layers for Copper Integration: Carbon-Silicon Polymer Films and Tantalum Substrates
The Semiconductor Industry Association (SIA) has identified the integration of copper (Cu) with low-dielectric-constant (low-k) materials as a critical goal for future interconnect architectures. A fundamental understanding of the chemical interaction of Cu with various substrates, including diffusion barriers and adhesion promoters, is essential to achieve this goal. The objective of this research is to develop novel organic polymers as Cu/low-k interfacial layers and to investigate popular barrier candidates, such as clean and modified tantalum (Ta) substrates. Carbon-silicon (C-Si) polymeric films have been formed by electron beam bombardment or ultraviolet (UV) radiation of molecularly adsorbed vinyl silane precursors on metal substrates under ultra-high vacuum (UHV) conditions. Temperature programmed desorption (TPD) studies show that polymerization is via the vinyl groups, while Auger electron spectroscopy (AES) results show that the polymerized films have compositions similar to the precursors. Films derived from vinyltrimethyl silane (VTMS) are adherent and stable on Ta substrates until 1100 K. Diffusion of deposited Cu overlayers is not observed below 800 K, with dewetting occurred only above 400 K. Hexafluorobenzene moieties can also be incorporated into the growing film with good thermal stability. Studies on the Ta substrates demonstrate that even sub-monolayer coverages of oxygen or carbide on polycrystalline Ta significantly degrade the strength of Cu/Ta chemical interactions, and affect the kinetics of Cu diffusion into bulk Ta. On clean Ta, monolayer coverages of Cu will de-wet only above 600 K. A partial monolayer of adsorbed oxygen (3L O2 at 300 K) results in a lowering of the de-wetting temperature to 500 K, while saturation oxygen coverage (10 L O2, 300 K) results in de-wetting at 300 K. Carbide formation also lowers the de-wetting temperature to 300 K. Diffusion of Cu into the Ta substrate at 1100 K occurs only after a 5-minute induction period. This induction period increases …
Influence of copper on resistance of Lumbricus terrestris to bacterial challenge
Earthworms, Lumbricus terrestris, were challenged orally and intracoelomically with two bacterial species, Aeromonas hydrophila and Pseudomonas aeruginosa, and mortality rates were observed. Neither were found to be particularly pathogenic at injected doses of up to 108 bacteria per earthworm. The influence of Cu++ (as CuSO4) on the earthworm's response to bacterial challenge was investigated by exposing earthworms to sublethal levels of Cu++ prior to bacterial challenge. Exposure at sublethal concentrations up to 3 m g/cm2 did not have a pronounced influence on host resistance to challenge as measured by earthworm mortality. Cu++ increased the earthworm's ability to agglutinate rabbit erythrocytes, indicating that Cu++ exposure caused coelomocyte death, autolysis and release of agglutinins into the coelom, possibly explaining resistance to bacterial challenge.
Diffusion Barriers/Adhesion Promoters. Surface and Interfacial Studies of Copper and Copper-Aluminum Alloys
The focus of this research is to study the interaction between copper and the diffusion barrier/adhesion promoter. The behavior of copper sputter-deposited onto sputter-cleaned tantalum nitride is investigated. The data show that copper growth on tantalum nitride proceeds with the formation of 3-D islands, indicating poor adhesion characteristics between copper and Ta0.4N. Post-annealing experiments indicate that copper will diffuse into Ta0.4N at 800 K. Although the data suggests that Ta0.4N is effective in preventing copper diffusion, copper's inability to wet Ta0.4N will render this barrier ineffective. The interaction of copper with oxidized tantalum silicon nitride (O/TaSiN) is characterized. The data indicate that initial copper depositions result in the formation a conformal ionic layer followed by Cu(0) formation in subsequent depositions. Post-deposition annealing experiments performed indicate that although diffusion does not occur for temperatures less than 800 K, copper "de-wetting" occurs for temperatures above 500 K. These results indicate that in conditions where the substrate has been oxidized facile de-wetting of copper may occur. The behavior of a sputter-deposited Cu0.6Al0.4 film with SiO2 (Cu0.6Al0.4/SiO2) is investigated. The data indicate that aluminum segregates to the SiO2 interface and becomes oxidized. For copper coverages less than ~ 0.31 ML (based on a Cu/O atomic ratio), only Cu(I) formation is observed. At higher coverages, Cu(0) is observed. These data are in contrast with the observed behavior of copper metal deposited onto SiO2 (Cu/SiO2). The data for Cu/SiO2 show that copper does not wet SiO2 and forms 3-D nuclei. Furthermore, post-annealing experiments performed on Cu0.6Al0.4/SiO2 show that neither de-wetting nor diffusion of copper occurs for temperatures up to 800 K, while Cu diffusion into SiO2 occurs ~ 600 K. These data indicate that aluminum alloyed with copper at the SiO2 interface serves as an effective adhesion promoter and thermal diffusion barrier.
Atomically engineering Cu/Ta interfaces.
This report summarizes the major research and development accomplishments for the late start LDRD project (investment area: Enable Predictive Simulation) entitled 'Atomically Engineering Cu/Ta Interfaces'. Two ultimate goals of the project are: (a) use atomistic simulation to explore important atomistic assembly mechanisms during growth of Cu/Ta multilayers; and (b) develop a non-continuum model that has sufficient fidelity and computational efficiency for use as a design tool. Chapters 2 and 3 are essentially two papers that address respectively these two goals. In chapter 2, molecular dynamics simulations were used to study the growth of Cu films on (010) bcc Ta and Cu{sub x}Ta{sub 1-x} alloy films on (111) fcc Cu. The results indicated that fcc crystalline Cu films with a (111) texture are always formed when Cu is grown on Ta. The Cu films are always polycrystalline even when the Ta substrate is single crystalline. These polycrystalline films are composed of grains with only two different orientations, which are separated by either orientational grain boundaries or misfit dislocations. Periodic misfit dislocations and stacking fault bands are observed. The Cu film surface roughness was found to decrease with increasing adatom energy. Due to a Cu surface segregation effect, the Cu{sub x}Ta{sub 1-x} films deposited on Cu always have a higher Cu composition than that used in the vapor mixture. When Cu and Ta compositions in the films are comparable, amorphous structures may form. The fundamental origins for all these phenomena have been studied in terms of crystallography and interatomic interactions. In chapter 3, a simplified computational method, diffusional Monte Carlo (dMC) method, was developed to address long time kinetic processes of materials. Long time kinetic processes usually involve material transport by diffusion. The corresponding microstructural evolution of materials can be analyzed by kinetic Monte Carlo simulation methods, which essentially simulate structural evolution …
Multi-dimensional modeling of atmospheric copper-sulfidation corrosion on non-planar substrates.
This report documents the author's efforts in the deterministic modeling of copper-sulfidation corrosion on non-planar substrates such as diodes and electrical connectors. A new framework based on Goma was developed for multi-dimensional modeling of atmospheric copper-sulfidation corrosion on non-planar substrates. In this framework, the moving sulfidation front is explicitly tracked by treating the finite-element mesh as a pseudo solid with an arbitrary Lagrangian-Eulerian formulation and repeatedly performing re-meshing using CUBIT and re-mapping using MAPVAR. Three one-dimensional studies were performed for verifying the framework in asymptotic regimes. Limited model validation was also carried out by comparing computed copper-sulfide thickness with experimental data. The framework was first demonstrated in modeling one-dimensional copper sulfidation with charge separation. It was found that both the thickness of the space-charge layers and the electrical potential at the sulfidation surface decrease rapidly as the Cu{sub 2}S layer thickens initially but eventually reach equilibrium values as Cu{sub 2}S layer becomes sufficiently thick; it was also found that electroneutrality is a reasonable approximation and that the electro-migration flux may be estimated by using the equilibrium potential difference between the sulfidation and annihilation surfaces when the Cu{sub 2}S layer is sufficiently thick. The framework was then employed to model copper sulfidation in the solid-state-diffusion controlled regime (i.e. stage II sulfidation) on a prototypical diode until a continuous Cu{sub 2}S film was formed on the diode surface. The framework was also applied to model copper sulfidation on an intermittent electrical contact between a gold-plated copper pin and gold-plated copper pad; the presence of Cu{sub 2}S was found to raise the effective electrical resistance drastically. Lastly, future research needs in modeling atmospheric copper sulfidation are discussed.
Solid State Division Quarterly Progress Report: August 1952
This quarterly progress report discusses the ongoing work within the Solid State Division at the Oak Ridge National Laboratory for the period ending August 10, 1952. Projects discussed include radiation metallurgy, engineering properties, fused salts, crystal physics, and solid state reactions.
The Interaction of 190 Mev K⁺ Mesons in H, C, Al, Cu, Ag, and Pb
This report describes a completed counter experiment to measure the attenuation of K+ mesons in various materials.
Copper corrosion and its relationship to solar collectors:a compendium.
Copper has many fine qualities that make it a useful material. It is highly conductive of both heat and electricity, is ductile and workable, and reasonably resistant to corrosion. Because of these advantages, the solar water heating industry has been using it since the mid-1970s as the material of choice for collectors, the fundamental component of a solar water heating system. In most cases copper has performed flawlessly, but in some situations it has been known to fail. Pitting corrosion is the usual failure mode, but erosion can also occur. In 2000 Sandia National Laboratories and the Copper Development Association were asked to analyze the appearance of pin-hole leaks in solar collector units installed in a housing development in Arizona, and in 2002 Sandia analyzed a pitting corrosion event that destroyed a collector system at Camp Pendleton. This report includes copies of the reports and accounts of these corrosion failures, and provides a bibliography with references to many papers and articles that might be of benefit to the solar community. It consolidates in a single source information that has been accumulated at Sandia relative to copper corrosion, especially as it relates to solar water heaters.
Surface and Interfacial Studies of Metal-Organic Chemical Vapor Deposition of Copper
The nucleation and successful growth of copper (Cu) thin films on diffusion barrier/adhesion promoter substrates during metal-organic chemical vapor deposition (MOCVD) are strongly dependent on the initial Cu precursor-substrate chemistry and surface conditions such as organic contamination and oxidation. This research focuses on the interactions of bis(1,1,1,5,5,5-hexafluoroacetylacetonato)copper(II), [Cu(hfac)2], with polycrystalline tantalum (Ta) and polycrystalline as well as epitaxial titanium nitride (TiN) substrates during Cu MOCVD, under ultra-high vacuum (UHV) conditions and low substrate temperatures (T < 500 K). The results obtained from X-ray photoelectron spectroscopy (XPS), Auger Electron Spectroscopy (AES) and Temperature Programmed Desorption (TPD) measurements indicate substantial differences in the chemical reaction pathways of metallic Cu formation from Cu(hfac)2 on TiN versus Ta surfaces.
Adherence/Diffusion Barrier Layers for Copper Metallization: Amorphous Carbon:Silicon Polymerized Films
Semiconductor circuitry feature miniaturization continues in response to Moore 's Law pushing the limits of aluminum and forcing the transition to Cu due to its lower resistivity and electromigration. Copper diffuses into silicon dioxide under thermal and electrical stresses, requiring the use of barriers to inhibit diffusion, adding to the insulator thickness and delay time, or replacement of SiO2 with new insulator materials that can inhibit diffusion while enabling Cu wetting. This study proposes modified amorphous silicon carbon hydrogen (a-Si:C:H) films as possible diffusion barriers and replacements for SiO2 between metal levels, interlevel dielectric (ILD), or between metal lines (IMD), based upon the diffusion inhibition of previous a-Si:C:H species expected lower dielectric constants, acceptable thermal conductivity. Vinyltrimethylsilane (VTMS) precursor was condensed on a titanium substrate at 90 K and bombarded with electron beams to induce crosslinking and form polymerized a-Si:C:H films. Modifications of the films with hydroxyl and nitrogen was accomplished by dosing the condensed VTMS with water or ammonia before electron bombardment producing a-Si:C:H/OH and a-Si:C:H/N and a-Si:C:H/OH/N polymerized films in expectation of developing films that would inhibit copper diffusion and promote Cu adherence, wetting, on the film surface. X-ray Photoelectron Spectroscopy was used to characterize Cu metallization of these a-Si:C:H films. XPS revealed substantial Cu wetting of a-Si:C:H/OH and a-Si:C:H/OH/N films and some wetting of a-Si:C:H/N films, and similar Cu diffusion inhibition to 800 K by all of the a-:S:C:H films. These findings suggest the possible use of a-Si:C:H films as ILD and IMD materials, with the possibility of further tailoring a-Si:C:H films to meet future device requirements.
Improvement of Homogeneity and Adhesion of Diamond-Like Carbon Films on Copper Substrates
Electrodeposition method is used to deposit diamond-like carbon (DLC) films on copper substrates via anodic oxidation at low temperature. These films are characterized using Raman spectroscopy, Fourier transform infrared spectroscopy and scanning electron microscopy. Homogeneity of these films is studied using Raman spectroscopy and scanning electron microscopy. Scotch tape peel tests indicate adherent film on copper substrate. Carbon phase transformation is studied using thermal annealing experiments in conjunction with Raman spectroscopy and scanning electron microscopy. A cathodic electrochemical method is also studied to deposit diamond-like carbon films on copper substrates. However, films deposited by the cathodic route have poor adhesion and quality compared to anodically deposited films. It is also possible to grow diamond phase on copper substrates using acetylene in liquid ammonia via electrodeposition route. An electrochemical method is proposed for boron doping into DLC films.
Tantalum- and ruthenium-based diffusion barriers/adhesion promoters for copper/silicon dioxide and copper/low κ integration.
The TaSiO6 films, ~8Å thick, were formed by sputter deposition of Ta onto ultrathin SiO2 substrates at 300 K, followed by annealing to 600 K in 2 torr O2. X-ray photoelectron spectroscopy (XPS) measurements of the films yielded a Si(2p) binding energy at 102.1 eV and Ta(4f7/2) binding energy at 26.2 eV, indicative of Ta silicate formation. O(1s) spectra indicate that the film is substantially hydroxylated. Annealing the film to > 900 K in UHV resulted in silicate decomposition to SiO2 and Ta2O5. The Ta silicate film is stable in air at 300K. XPS data show that sputter-deposited Cu (300 K) displays conformal growth on Ta silicate surface (TaSiO6) but 3-D growth on the annealed and decomposed silicate surface. Initial Cu/silicate interaction involves Cu charge donation to Ta surface sites, with Cu(I) formation and Ta reduction. The results are similar to those previously reported for air-exposed TaSiN, and indicate that Si-modified Ta barriers should maintain Cu wettability under oxidizing conditions for Cu interconnect applications. XPS has been used to study the reaction of tert-butylimino tris(diethylamino) tantalum (TBTDET) with atomic hydrogen on SiO2 and organosilicate glass (OSG) substrates. The results on both substrates indicate that at 300K, TBTDET partially dissociates, forming Ta-O bonds with some precursor still attached. Subsequent bombardment with atomic hydrogen at 500K results in stoichiometric TaN formation, with a Ta(4f7/2) feature at binding energy 23.2 eV and N(1s) at 396.6 eV, leading to a TaN phase bonded to the substrate by Ta-O interactions. Subsequent depositions of the precursor on the reacted layer on SiO2 and OSG, followed by atomic hydrogen bombardment, result in increased TaN formation. These results indicate that TBTDET and atomic hydrogen may form the basis for a low temperature atomic layer deposition (ALD) process for the formation of ultraconformal TaNx or Ru/TaNx barriers. The interactions …
Interfacial Study of Copper Electrodeposition with the Electrochemical Quartz Crystal Microbalance (EQCM)
The electrochemical quartz crystal microbalance (EQCM) has been proven an effective mean of monitoring up to nano-scale mass changes related to electrode potential variations at its surface. The principles of operation are based on the converse piezoelectric response of quartz crystals to mass variations on the crystal surface. In this work, principles and operations of the EQCM and piezo-electrodes are discussed. A conductive oxide, ruthenium oxide (RuO2) is a promising material to be used as a diffusion barrier for metal interconnects. Characterization of copper underpotential deposition (UPD) on ruthenium and RuO2 electrodes by means of electrochemical methods and other spectroscopic methods is presented. Copper electrodeposition in platinum and ruthenium substrates is investigated at pH values higher than zero. In pH=5 solutions, the rise in local pH caused by the reduction of oxygen leads to the formation of a precipitate, characterized as posnjakite or basic copper sulfate by means of X-ray electron spectroscopy and X-ray diffraction. The mechanism of formation is studied by means of the EQCM, presenting this technique as a powerful in-situ sensing device.
Effects of Cyclic Stains on Transport Properties of a Superconducting Composite : Phase I, Degradation of Electrical Conductivity in Copper at 4.2 K
The effects of cyclic strains at 4.2 K on the electrical resistivity of copper have been investigated as Phase I of a program to determine the overall effects on monolithic superconducting composites. This work is a direct application to the design of large superconducting magnets that are subject to several different modes of cyclic strain during assembly and normal operations.
Electrodeposition of adherent copper film on unmodified tungsten.
Adherent Cu films were electrodeposited onto polycrystalline W foils from purged solutions of 0.05 M CuSO4 in H2SO4 supporting electrolyte and 0.025 M CuCO3∙Cu(OH)2 in 0.32 M H3BO3 and corresponding HBF4 supporting electrolyte, both at pH = 1. Films were deposited under constant potential conditions at voltages between -0.6 V and -0.2 V vs Ag/AgCl. All films produced by pulses of 10 s duration were visible to the eye, copper colored, and survived a crude test called "the Scotch tape test", which stick the scotch tape on the sample, then peel off the tape and see if the copper film peels off or not. Characterization by scanning electron microscopy (SEM), energy dispersive X-ray (EDX) and X-ray photon spectroscopy (XPS) confirmed the presence of metallic Cu, with apparent dendritic growth. No sulfur impurity was observable by XPS or EDX. Kinetics measurements indicate that the Cu nucleation process in the sulfuric bath is slower than in the borate bath. In both baths, nucleation kinetics do not correspond to either instantaneous or progressive nucleation. Films deposited from 0.05 M CuSO4/H2SO4 solution at pH > 1 at -0.2 V exhibited poor adhesion and decreased Cu reduction current. In both borate and sulfate baths, small Cu nuclei are observable by SEM upon deposition at higher negative overpotentials, while only large nuclei (~ 1 micron or larger) are observed upon deposition at less negative potentials.
Cu Electrodeposition on Ru with a Chemisorbed Iodine Surface Layer.
An iodine surface layer has been prepared on Ru(poly) and Ru(0001) electrodes by exposure to iodine vapor in UHV and polarizing in a 0.1 M HClO4/0.005 M KI solution, respectively. A saturation coverage of I on a Ru(poly) electrode passivates the Ru surface against significant hydroxide, chemisorbed oxygen or oxide formation during exposure to water vapor over an electrochemical cell in a UHV-electrochemistry transfer system. Immersion of I-Ru(poly) results in greater hydroxide and chemisorbed oxygen formation than water vapor exposure, but an inhibition of surface oxide formation relative that of the unmodified Ru(poly) surface is still observed. Studies with combined electrochemical and XPS techniques show that the iodine surface adlayer remained on top of the surface after cycles of overpotential electrodeposition/dissolution of copper on both Ru(poly) and Ru(0001) electrodes. These results indicate the potential bifunctionality of iodine layer to both passivate the Ru surface in the microelectronic processing and to act as a surfactant for copper electrodeposition. The electrodeposition of Cu on Ru(0001) or polycrystalline Ru was studied using XPS with combined ultrahigh vacuum/electrochemistry methodology (UHV-EC) in 0.1 M HClO4 with Cu(ClO4)2 concentrations ranging from 0.005 M to 0.0005 M, and on polycrystalline Ru in a 0.05M H2SO4/0.005 M CuSO4/0.001 M NaCl solution. The electrochemical data show well-defined cyclic voltammograms (CV) with a Cu underpotential deposition (UPD) peak and overpotential deposition (OPD) peak. XPS spectra of Ru electrodes emersed from perchloric acid solution at cathodic potentials indicate that ClO4- anions dissociate to yield specifically adsorbed Cl and ClOx species. Subsequent Cu deposition results in the formation of a thin, insoluble Cu(II) film with Cu(I) underneath. In contrast, similar deposition on polycrystalline Ru in the sulfuric acid/Cu sulfate solution with NaCl added yields only Cu(0), indicating that the formation of Cu(II) and Cu(I) involves both Cl and perchlorate interactions with the …
The Revival of Electrochemistry: Electrochemical Deposition of Metals in Semiconductor Related Research
Adherent Cu films were electrodeposited onto polycrystalline W foils from purged solutions of 0.05 M CuSO4 in H2SO4 supporting electrolyte and 0.025 M CuCO3∙Cu(OH)2 in 0.32 M H3BO3 and corresponding HBF4 supporting electrolyte, both at pH = 1. Films were deposited under constant potential conditions at voltages between -0.6 V and -0.2 V versus Ag/AgCl. All films produced by pulses of 10 s duration were visible to the eye, copper colored, and survived a crude test called "the Scotch tape test", which involves sticking the scotch tape on the sample, then peeling off the tape and observing if the copper film peels off or not. Characterization by scanning electron microscopy (SEM)/energy dispersive X-ray (EDX) and X-ray photon spectroscopy (XPS) confirmed the presence of metallic Cu, with apparent dendritic growth. No sulfur impurity was observable by XPS or EDX. Kinetics measurements indicated that the Cu nucleation process in the sulfuric bath is slower than in the borate bath. In both baths, nucleation kinetics does not correspond to either instantaneous or progressive nucleation. Films deposited from 0.05 M CuSO4/H2SO4 solution at pH > 1 at -0.2 V exhibited poor adhesion and decreased Cu reduction current. In both borate and sulfate baths, small Cu nuclei are observable by SEM upon deposition at higher negative overpotentials, while only large nuclei (~ 1 micron or larger) are observed upon deposition at less negative potentials. Osmium metal has been successfully electrodeposited directly onto p-Si (100) from both Os3+ and Os4+ in both sulfuric and perchloric baths. This electrochemical deposition of osmium metal can provide sufficient amount of osmium which overcome ion beam implantation limitations. The deposited metal can undergo further processing to form osmium silicides, such as Os2Si3, which can be used as optical active materials. The higher osmium concentration results in large deposition currents and …
FTIR-ATR Characterization of Hydrogel, Polymer Films, Protein Immobilization and Benzotriazole Adsorption on Copper Surface
Plasma polymerization techniques were used to synthesize and deposit hydrogel on silicon (Si) substrate. Hydrogel is a network of polymer chains that are water-insoluble and has a high degree of flexibility. The various fields of applications of hydrogel include drug release, biosensors and tissue engineering etc. Hydrogel synthesized from different monomers possess a common property of moisture absorption. In this work two monomers were used namely 1-amino-2-propanol (1A2P) and 2(ethylamino)ethanol (2EAE) to produce polymer films deposited on Si ATR crystal. Their moisture uptake property was tested using FTIR-ATR technique. This was evident by the decrease in -OH band in increasing N2 purging time of the films. Secondly, two monomer compounds namely vinyl acetic acid and glycidyl methacrylate which have both amine and carboxylic groups are used as solid surface for the immobilization of bovine serum albumin (BSA). Pulsed plasma polymerization was used to polymerize these monomers with different duty cycles. Initial works in this field were all about protein surface adsorption. But more recently, the emphasis is on covalent bonding of protein on to the surface. This immobilization of protein on solid surface has a lot of applications in the field of biochemical studies. The polymerization of vinyl acetic acid and glycidyl methacrylate were shown as successful method to attach protein on them. Chemical mechanical polishing (CMP) of Cu is one of the processes in the integrated chips manufacturing industry. Benzotriazole is one of the constituents of this CMP slurry used as corrosion inhibitor for Cu. Benzotriazole (C6H5N3) is a nitrogen heterocyclic derivative having three nitrogen atoms, each with an unshared pair of electrons, forming five-membered ring structure. This molecule coordinates with Cu atoms by loosing a proton from one of its nitrogen atom and thereby forming a film which is polymeric in nature that prevents further oxidation of Cu. …
Uranium-Bearing Copper Deposits Near Guadalupita, Mora County, New Mexico
Abstract: Dark shale and arkosic sandstone south of Guadalupita, Mora County, New Mexico, contain as much as 4 percent copper and 0. 01 percent uranium on the basis of a few samples recently analyzed. The deposits occur primarily in the dark shale which is about 5 feet thick and dips about 900. Potential reserves of this material are very large. Prospecting and further geologic work will be necessary, however, to evaluate possibilities of these large tonnages. If the deposits can be mined for their copper content, the uranium might be recovered as a byproduct. Detailed mapping and possibly some trenching will be undertaken by the Geological Survey in order further to evaluate the deposits.
The Crystal Structure of LiCuCl3-2H2O*
This report undertakes research to study LiCuCl3-2H2O is from a structural standpoint, revealing the oxygen positions. The study uses the Levy-Bussing anisotropic temperature factor treatment and least squares program to determine a discrepancy factor for the visually determined intensities. The structure of the molecule is also found to have interesting magnetic properties which has inspired a new study at Brookhaven.
Separation of Iron by Liquid-Liquid Column Extraction
From abstract: "Iron(III) can be separated from many elements by extraction from 6 to ͟8M hydrochloric acid. The extraction is carried out by passing the aqueous hydrochloric acid solution through a column packed with 2-octanone adsorbed onto a poly-fluorocarbon resin. Fluoride, phosphate, sulfate or citrate cause no interference. Traces if iron(III) can be separated quantitatively from large amounts of copper(II) or zinc(II); traces of titanium(IV) can be separated from large amounts of iron(III)."
Copper: A Materials Survey
Report issued by the U.S. Bureau of Mines discussing surveys conducted on copper. Physicality, mineral properties, history, geology, production methods, and uses of copper are presented. This report includes maps, tables, illustrations, and photographs.
Methods for Producing Secondary Copper
Report issued by the U.S. Bureau of Mines discussing production of secondary copper. As stated in the introduction, "the purpose of this report is to present a complete collection of information on secondary copper in a single publication" (p. 1-2). This report includes tables, illustrations, and photographs.
Specific Heat of Copper in the Interval 0⁰ to 50⁰ Celsius with a Note on Vacuum-Jacketed Calorimeters
Report issued by the Bureau of Standards over studies on the specific heat of copper. The methods and equipment used for the studies are discussed. This report includes tables, photographs, and illustrations.
Study of Interactions Between Diffusion Barrier Layers and Low-k Dielectric Materials for Copper/Low-k Integration
The shift to the Cu/low-k interconnect scheme requires the development of diffusion barrier/adhesion promoter materials that provide excellent performance in preventing the diffusion and intermixing of Cu into the adjacent dielectrics. The integration of Cu with low-k materials may decrease RC delays in signal propagation but pose additional problems because such materials are often porous and contain significant amounts of carbon. Therefore barrier metal diffusion into the dielectric and the formation of interfacial carbides and oxides are of significant concern. The objective of the present research is to investigate the fundamental surface interactions between diffusion barriers and various low-k dielectric materials. Two major diffusion barriers¾ tatalum (Ta) and titanium nitride (TiN) are prepared by DC magnetron sputtering and metal-organic chemical vapor deposition (MOCVD), respectively. Surface analytical techniques, such as X-ray photoelectronic spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) are employed. Ta sputter-deposited onto a Si-O-C low dielectric constant substrate forms a reaction layer composed of Ta oxide and TaC. The composition of the reaction layer varies with deposition rate (1 Å-min-1 vs. 2 Å-sec-1), but in both cases, the thickness of the TaC layer is found to be at least 30 Å on the basis of XPS spectra, which is corroborated with cross-sectional TEM data. Sputter-deposited Cu will not wet the TaC layer and displays facile agglomeration, even at 400 K. Deposition for longer time at 2 Å-sec-1 results in formation of a metallic Ta layer. Sputter deposited Cu wets (grows conformally) on the metallic Ta surface at 300 K, and resists significant agglomeration at up to ~ 600 K. Cu diffusion into the substrate is not observed up to 800 K in the UHV environment. Tetrakis(diethylamido) titanium (TDEAT) interactions with SiO2, Cu and a variety of low-k samples in the presence (~ 10-7 Torr or …
Copper Electrodeposition on Iridium, Ruthenium and Its Conductive Oxide Substrate
The aim of this thesis was to investigate the physical and electrochemical properties of sub monolayer and monolayer of copper deposition on the polycrystalline iridium, ruthenium and its conductive oxide. The electrochemical methods cyclic voltammetry (CV) and chronocoulometry were used to study the under potential deposition. The electrochemical methods to oxidize the ruthenium metal are presented, and the electrochemical properties of the oxide ruthenium are studied. The full range of CV is presented in this thesis, and the distances between the stripping bulk peak and stripping UPD peak in various concentration of CuSO4 on iridium, ruthenium and its conductive oxide are shown, which yields thermodynamic data on relative difference of bonding strength between Cu-Ru/Ir atoms and Cu-Cu atoms. The monolayer of UPD on ruthenium is about 0.5mL, and on oxidized ruthenium is around 0.9mL to 1.0mL. The conductive oxide ruthenium presents the similar properties of ruthenium metal. The pH effect of stripping bulk peak and stripping UPD peak of copper deposition on ruthenium and oxide ruthenium was investigated. The stripping UPD peak and stripping bulk peak disappeared after the pH ≥ 3 on oxidized ruthenium electrode, and a new peak appeared, which means the condition of pH is very important. The results show that the Cl- , SO42- , Br- will affect the position of stripping bulk peak and stripping UPD peak: the stripping bulk peak will shift and decrease if the concentration of halide ions is increasing, and the monolayer of UPD will increase at the same time.
Interfacial Electrochemistry and Surface Characterization: Hydrogen Terminated Silicon, Electrolessly Deposited Palladium & Platinum on Pyrolyzed Photoresist Films and Electrodeposited Copper on Iridium
Hydrogen terminated silicon surfaces play an important role in the integrated circuit (IC) industry. Ultra-pure water is extensively used for the cleaning and surface preparation of silicon surfaces. This work studies the effects of ultra-pure water on hydrogen passivated silicon surfaces in a short time frame of 120 minutes using fourier transform infrared spectroscopy – attenuated total reflection techniques. Varying conditions of ultra-pure water are used. This includes dissolved oxygen poor media after nitrogen bubbling and equilibration under nitrogen atmosphere, as well as metal contaminated solutions. Both microscopically rough and ideal monohydride terminated surfaces are examined. Hydrogen terminated silicon is also used as the sensing electrode for a potentiometric sensor for ultra-trace amounts of metal contaminants. Previous studies show the use of this potentiometric electrode sensor in hydrofluoric acid solution. This work is able to shows sensor function in ultra-pure water media without the need for further addition of hydrofluoric acid. This is considered a boon for the sensor due to the hazardous nature of hydrofluoric acid. Thin carbon films can be formed by spin coating photoresist onto silicon substrates and pyrolyzing at 1000 degrees C under reducing conditions. This work also shows that the electroless deposition of palladium and platinum may be accomplished in hydrofluoric acid solutions to attain palladium and platinum nanoparticles on a this film carbon surface for use as an electrode. Catalysis of these substrates is studied using hydrogen evolution in acidic media, cyclic voltammetry, and catalysis of formaldehyde. X-ray diffractometry (XRD) is used to ensure that there is little strain on palladium and platinum particles. Iridium is thought to be a prime candidate for investigation as a new generation copper diffusion barrier for the IC industry. Copper electrodeposition on iridium is studied to address the potential of iridium as a copper diffusion barrier. Copper electrodeposition …
Process Evaluation and Characterization of Tungsten Nitride as a Diffusion Barrier for Copper Interconnect Technology
The integration of copper (Cu) and dielectric materials has been outlined in the International Technology Roadmap for Semiconductors (ITRS) as a critical goal for future microelectronic devices. A necessity toward achieving this goal is the development of diffusion barriers that resolve the Cu and dielectric incompatibility. The focus of this research examines the potential use of tungsten nitride as a diffusion barrier by characterizing the interfacial properties with Cu and evaluating its process capability for industrial use. Tungsten nitride (β-W2N) development has been carried out using a plasma enhanced chemical vapor deposition (PECVD) technique that utilizes tungsten hexafluoride (WF6), nitrogen (N2), hydrogen (H2), and argon (Ar). Two design of experiments (DOE) were performed to optimize the process with respect to film stoichiometry, resistivity and uniformity across a 200 mm diameter Si wafer. Auger depth profiling showed a 2:1 W:N ratio. X-ray diffraction (XRD) showed a broad peak centered on the β-W2N phase. Film resistivity was 270 mohm-cm and film uniformity < 3 %. The step coverage (film thickness variance) across a structured etched dielectric (SiO2, 0.35 mm, 3:1 aspect ratio) was > 44 %. Secondary ion mass spectroscopy (SIMS) measurements showed good barrier performance for W2N between Cu and SiO2 with no intermixing of the Cu and silicon when annealed to 390o C for 3 hours. Cu nucleation behavior and thermal stability on clean and nitrided tungsten foil (WxN = δ-WN and β-W2N phases) have been characterized by Auger electron spectroscopy (AES) and thermal desorption spectroscopy (TDS) under controlled ultra high vacuum (UHV) conditions. At room temperature, the Auger intensity ratio vs. time plots demonstrates layer by layer Cu growth for the clean tungsten (W) surface and three-dimensional nucleation for the nitride overlayer. Auger intensity ratio vs. temperature measurements for the Cu/W system indicates a stable interface up to 1000 …
Study of Ruthenium and Ruthenium Oxide's Electrochemical Properties and Application as a Copper Diffusion Barrier
As a very promising material of copper diffusion barrier for next generation microelectronics, Ru has already obtained a considerable attention recently. In this dissertation, we investigated ruthenium and ruthenium oxide electrochemical properties and the application as a copper diffusion barrier. Cu under potential deposition (UPD) on the RuOx formed electrochemically was first observed. Strong binding interaction, manifesting by the observed Cu UPD process, exists between Cu and Ru as well as its conductive ruthenium oxide. Since UPD can be conformally formed on the electrode surface, which enable Ru and RuOx has a potential application in the next generation anode. The [Cl-] and pH dependent experiment were conducted, both of them will affect UPD Cu on Ru oxide. We also found the Cu deposition is thermodynamically favored on RuOx formed electrochemically. We have studied the Ru thin film (5nm) as a copper diffusion barrier. It can successfully block Cu diffusion annealed at 300 oC for 10min under vacuum, and fail at 450 oC. We think the silicidation process at the interface between Ru and Si. PVD Cu/Ru/Si and ECP Cu/Ru/Si were compared each other during copper diffusion study. It was observed that ECP Cu is easy to diffuse through Ru barrier. The function of RuOx in diffusion study on Cu/Ru/Si stack was discussed. In pH 5 Cu2+ solution, Ru and Pt electrochemical behavior were investigated. A sharp difference was observed compared to low pH value. The mechanism in pH 5 Cu2+ solution was interpreted. An interesting compound (posnjakite) was obtained during the electrochemical process. An appropriate formation mechanism was proposed. Also Cu2O was formed in the process. We found oxygen reduction reaction is a key factor to cause this phenomenon.
Reductive Functionalization of 3D Metal-Methyl Complexes and Characterization of a Novel Dinitrogen Dicopper (I) Complex
Reductive functionalization of methyl ligands by 3d metal catalysts and two possible side reactions has been studied. Selective oxidation of methane, which is the primary component of natural gas, to methanol (a more easily transportable liquid) using organometallic catalysis, has become more important due to the abundance of domestic natural gas. In this regard, reductive functionalization (RF) of methyl ligands in [M(diimine)2(CH3)(Cl)] (M: VII (d3) through CuII (d9)) complexes, has been studied computationally using density functional techniques. A SN2 mechanism for the nucleophilic attack of hydroxide on the metal-methyl bond, resulting in the formation of methanol, was studied. Similar highly exergonic pathways with very low energy SN2 barriers were observed for the proposed RF mechanism for all complexes studied. To modulate RF pathways closer to thermoneutral for catalytic purposes, a future challenge, paradoxically, requires finding a way to strengthen the metal-methyl bond. Furthermore, DFT calculations suggest that for 3d metals, ligand properties will be of greater importance than metal identity in isolating suitable catalysts for alkane hydroxylation in which reductive functionalization is used to form the C—O bond. Two possible competitive reactions for RF of metal-methyl complexes were studied to understand the factors that lower the selectivity of C—O bond forming reactions. One of them was deprotonation of the methyl group, which leads to formation of a methylene complex and water. The other side reaction was metal-methyl bond dissociation, which was assessed by calculating the bond dissociation free energies of M3d—CH3 bonds. Deprotonation was found to be competitive kinetically for most of the 1st row transition metal-methyl complexes (except for CrII, MnII and CuII), but less favorable thermodynamically as compared to reductive functionalization for all of the studied 1st row transition metal complexes. Metal-carbon bond dissociation was found to be less favorable than the RF reactions for most 3d transition …
Application of UV-Vis Spectroscopy to the Monitoring, Characterization and Analysis of Chemical Equilibria of Copper Etching Baths
The continuously increasing demand for innovation in the miniaturization of microelectronics has driven the need for ever more precise fabrication strategies for device packaging, especially for printed circuit boards (PCBs). Subtractive copper etching is a fundamental step in the fabrication process, requiring very precise control of etch rate and etch factor. Changes in the etching chemical equilibrium have significant effects on etching behavior, and CuCl2 / HCl etching baths are typically monitored with several parameters including oxidation-reduction potential, conductivity, and specific gravity. However, the etch rate and etch factor can be difficult to control even under strict engineering controls of those monitoring parameters. The mechanism of acidic cupric chloride etching, regeneration and recovery is complex, and the current monitoring strategies can have difficulty controlling the interlocking chemical equilibria. A complimentary tool, thin-film UV-Vis spectroscopy, can be utilized to improve the current monitoring strategies, as UV-Vis is capable of identifying and predicting etching behavior that the current standard methodologies have difficulty predicting. Furthermore, as a chemically-sensitive probe, UV-Vis can investigate the complex changes to the chemical equilibrium and speciation of the etch bath, and can contribute overall to significant improvements in the control of the copper etching system in order to meet the demands of next-level design strategies.
Back to Top of Screen